GotAI.NET

Форум: Проблемы искусственного интеллекта

 

Регистрация | Вход

 Все темы | Новая тема Стр.43 (48)<< < Пред. | След. > >>   Поиск:  
 Автор Тема: На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
IvanVlaskin1976
Сообщений: 13196
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 6:55
Я прям Игната зауважал
На умножение матриц развелся
Теперь его на слабо надо на 10 тыщ строк текста развести как у меня
И готовый программист внештатный
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 7:42
Цитата:
Автор: IvanVlaskin1976

Я прям Игната зауважал
На умножение матриц развелся
Теперь его на слабо надо на 10 тыщ строк текста развести как у меня
И готовый программист внештатный


По моей теории, это вы сделали. Ну хорошо, беру свои слова назад про задрота по крайней мере к человеку который ботоводит. Даже вайтишником перестану обзывать.
Все таки даже на Вкраине более 50% людей хорошие люди.
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 7:51
Только что говорил с швадами, немцами, норвежцами и немцами из Ростока (считай словяне).

Из Ростоко абсолютно душевны елюди.
Другой немец какой то недоразвитый и жена у него филлипинка и права пробывал качать.
Шведка вроде нормально общалась но потом заявила что якобы люди из Карелии координально отличаются от людей из Финляндии и Швеции.

Явно намекая на пропаганду злых русских по их ТВ.

Я ей говорю что у карелов и финов даже инструменты все одинаковые и избы и вообще всё.
Она говорит это конечно да, но якобы русские менеджмент по другому делают. Это она намекает что они (шведы) якобы такие все аккуратные и умные, а русские совсем другие.

Я ей как раз и сказал что Россия большая, на юге одни люди живут, на севере другие.

Общий итог - в Европе тоже есть около 20-30% ну полнейших неадекватов. Так что по этому параметру Вкраина це Европа.

Выхода нет. Придется всю Европу заставить учить русский язык. По другому они не врубаются.
Тем более что древне-шведский это и был русский. Но от шведов это скрывают.

https://sv.wikipedia.org/wiki/Parentation_p%C3%A5_ryska_%C3%B6ver_Karl_XI

Вот нашли в Упсале - самый древний и самый пожалуй русский (если судить по шведам, которые там проживают - от русских вообще не отличаются) город в Швеции с крепостью Звездой.
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 8:05
Ингерманландия, Ижорская земля

https://en.wikipedia.org/wiki/Ingria

Тупая шведка знать ничего не хочет про окрестности Санткт-Питербурга.
В этом она похожа с хохлами, те тоже знать не хотят кто конкретно умирал за Болгарию в Русско-Турецких войнах и кото командовал там (Дисклаймер: Командовали русские генералы, умирали различные казаки с Краснодара, Дикая дивизия с Кавказа и другие, но хохлов там почти не было, а тем более рагулей)

А между тем мы помним и еще более древние времена и 1000 лет назад и даже 4000 лет. Там жили местные люди до прихода Русских, а затем поляков и всякой ериси из Киева.

Так что по итогу отдельные шведы отличаются от русских своей тупостью. Но это и раньше за чухонцами и варягами замечалось.

Так например Датский кортавый епископ в сговоре с датчанами убили Шведов вероломно во время пира в их собственной Шведской столице - Стокгольме.

https://radiosputnik.ru/20191108/1560683158.html

Вообщем обиженки, все их убивают, все им должны.

А фины откровенно гворят, что лучше они с Русскими будут жить чем с таким говном как шведы.
Видимо в Швеции с правительством всё так же грустно, как на Вкраине. Потому что обычные шведы - просто замечательные люди. Хотя некоторым особо туповатым шведкам уже поднасрали через мас-медиа в черепушки.
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 8:20
Цитата:
Автор: гость


Джуниор что ли? Позор конечно такие смешные деньги получать.


Не сравнивайте жопу с пальцем.

А Чистую с тропическими фруктами по 1 евро Испанию, с грязным Берлином или сгоревшим до тла Сан-Франциско.

В Испании можно 3 года работать (включая 3 отпуска по 21 денть) и ещё 1 год отдыать (за 50% зарплаты) все по закону. За отопление платить не надо. Дома стоили в 2024 с яблоневым садом 20 000 евро. Медицина бесплатная, включая косметическую хирургию (может кому надо из баб селиконовые). Проезд бесплатный. Аспирантура максимум 500 евро за 6 месяцев.

В Америке заболеть - это пожизненное рабство. Аналогично с высшим образованием - кредит и пожизненное рабство.

Так что в Испании 100 евро в день это официально на 40 евро больше прожиточного уровня в 60-64 евро, которого достаточно на всё по законам Испании.

Кстати обед в ресторане по всей Испании из 5 блюд стоит 12 евро. Обязательно Суп, Мясо, Соки или Пиво а так же дисерт и обязательно гарнир. Всё на выбор.

В Америке один гамбургер за 12 долларов не купить. В ресторане будет стоить 40 долларов.

Так что 100 евро в Испании, это как 400 долларов в Америке в день. Но без химии, грязи, наркотиков и психов. Даже Гири (тупые французы, шведы, немцы и т.д.) наезжают зимой и им в общем то рады, так как стригут местные как овец с них.
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 8:25
В 2024 дома в Испании уже стоили по 100 000 - 115 000 евро.
А вот во время ковида 2020 банк продавал финку с садом из деревьев небольшим за 20 000 евро.
То есть за один год, получая 100 евро в день запросто можно было купить 1 дом с электричеством и водой в отличном состоянии после ремонта с террасой (которую можно было застеклить еще за 600 евро).
[Ответ][Цитата]
гость
178.176.211.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 8:29
игнат пиздит, разумеется, он не способен составить программу перемножения матриц самостоятельно, ни за день, ни за неделю, остатков ума не хватит.
пример взят по ссылке типа этой: [https://developer.arm.com/documentation/102467/0201/Example---matrix-multiplication] ссылка [/url]


void matrix_multiply_c(float32_t *A, float32_t *B, float32_t *C, uint32_t n, uint32_t m, uint32_t k) {
for (int i_idx=0; i_idx < n; i_idx++) {
for (int j_idx=0; j_idx < m; j_idx++) {
C[n*j_idx + i_idx] = 0;
for (int k_idx=0; k_idx < k; k_idx++) {
C[n*j_idx + i_idx] += A[n*k_idx + i_idx]*B[k*j_idx + k_idx];
}
}
}
}



(https://developer.arm.com/documentation/102467/0201/Example---matrix-multiplication)
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 8:40
Цитата:
Автор: гость

игнат пиздит, разумеется, он не способен составить программу перемножения матриц самостоятельно, ни за день, ни за неделю, остатков ума не хватит.
пример взят по ссылке типа этой: [https://developer.arm.com/documentation/102467/0201/Example---matrix-multiplication] ссылка [/url]


void matrix_multiply_c(float32_t *A, float32_t *B, float32_t *C, uint32_t n, uint32_t m, uint32_t k) {
for (int i_idx=0; i_idx < n; i_idx++) {
for (int j_idx=0; j_idx < m; j_idx++) {
C[n*j_idx + i_idx] = 0;
for (int k_idx=0; k_idx < k; k_idx++) {
C[n*j_idx + i_idx] += A[n*k_idx + i_idx]*B[k*j_idx + k_idx];
}
}
}
}



(https://developer.arm.com/documentation/102467/0201/Example---matrix-multiplication)


Вы бы хоть количество сообщений посмотрели в том посте.
Видно же что это ботовод разместил.

Но раз хохлы от этого примера чувствуют себя умнее и подтягивают уровень знаний к выпускникам русских университетов, то хохрошо что кто то разместил.

По любому этот кто то не задрот и не вайтишник.
[Ответ][Цитата]
гость
185.3.32.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 8:52
Цитата:
Автор: гость



Вы бы хоть количество сообщений посмотрели в том посте.
Видно же что это ботовод разместил.

Но раз хохлы от этого примера чувствуют себя умнее и подтягивают уровень знаний к выпускникам русских университетов, то хохрошо что кто то разместил.

По любому этот кто то не задрот и не вайтишник.


может и ботовод, но это не отменяет того, что выживший из ума игнат ни на что не способен

Реально видно, что у него каша в бошке, набор умных фраз из разных областей науки, а копни поглубже, выясняется что полный ноль.
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13196
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 9:02
Цитата:
Автор: гость
может и ботовод, но это ..

Ты мя щас подставиш
Только дедок успокоился, человеческий облик стал принимать, а вы масла в огонь подлили
Щас он на гавно изведётся и окончательно в своей паранойе свихнётся
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 9:12
Цитата:
Автор: IvanVlaskin1976


Ты мя щас подставиш
Только дедок успокоился, человеческий облик стал принимать, а вы масла в огонь подлили
Щас он на гавно изведётся и окончательно в своей паранойе свихнётся


Иван тут у меня черновичек, а основная публикация на бумаге в рукописи. Там все детали. Поэтому я понимаю все негодование местных воришек. Как так, что то новое мимо их носа пролетает и дотянуться ни как.

Так что пусть рагули бесятся. Им осталось 3 месяца и 100 000 бешенцев в Валхалла (плюс к миллиону что уже там. Причем это больше чем потери ВОВ Франции, Англии и Америки вместе взятые. Если бы не хамили русским, то могли бы быть хозяевами Европы - силы бы хватило. А так хохлы в пролете получается. И строят Европейцев уже Русские.).

Правда туда в Валхаллу мертвых хохлов не пустят, оставят на пороге. Туда трусливых и тех кто спевать красиво не умеет не пускают. И потом туда берут только коренных из Новгородской республики (Швеции, Норвегии, Финляндии), рагулей, поляков и прочую шляхту туда не пускают.

[Ответ][Цитата]
гость
185.100.87.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 9:14
Цитата:
Автор: ignаt99


Ну ладно, а в чем собственно квест в умножении матриц на верилог? Хохол под надзором картавого справится за пол часа, а русский за 3 мин. По сути тот же С:


С

void matrix_multiplier(
    int *A, int *B, int *C,
    int MATRIX_SIZE
) {
    for (int i = 0; i < MATRIX_SIZE; i++) {
        for (int j = 0; j < MATRIX_SIZE; j++) {
            int temp_sum = 0;
            for (int k = 0; k < MATRIX_SIZE; k++) {
                temp_sum += A[i * MATRIX_SIZE + k] * B[k * MATRIX_SIZE + j];
            }
            C[i * MATRIX_SIZE + j] = temp_sum;
        }
    }
}



verilog

module matrix_multiplier #(
    parameter DATA_WIDTH = 8,
    parameter MATRIX_SIZE = 4
) (
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] A,
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] B,
    output reg [DATA_WIDTH * 2 * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] C
);
    integer i, j, k;
    reg [DATA_WIDTH * 2 - 1 : 0] temp_sum;

    always @(*) begin
        for (i = 0; i < MATRIX_SIZE; i = i + 1) begin
            for (j = 0; j < MATRIX_SIZE; j = j + 1) begin
                temp_sum = 0;
                for (k = 0; k < MATRIX_SIZE; k = k + 1) begin
                    temp_sum = temp_sum + A[(i * MATRIX_SIZE + k) * DATA_WIDTH +: DATA_WIDTH] * B[(k * MATRIX_SIZE + j) * DATA_WIDTH +: DATA_WIDTH];
                end
                C[(i * MATRIX_SIZE + j) * DATA_WIDTH * 2 +: DATA_WIDTH * 2] <= temp_sum;
            end
        end
    end

endmodule


С ша256 возиться не буду, там русскому на пару дней(я получаю сотку евро в день, заплатите сделаю), а хохлу или картавому на год работы, такджик или тувинец осилит где-то за месяц, чеченец за неделю.
опачки...

это уже что-то, пускай и копипаст, но с виду похоже на правду(делайте в следующий раз вместе с модулями тестбенчи). Ок, забираю свои слова обратно, не всё потерянно. Если Sha256 запилите за 2 дня и по сети не найдется такого кода, лично я вас признаю за крутого чувака, почти как Юру Панчула, даже готов вам выплатить 200 usdt за потраченное время.
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 9:22
Цитата:
Автор: гость

опачки...

это уже что-то, пускай и копипаст, но с виду похоже на правду(делайте в следующий раз вместе с модулями тестбенчи). Ок, забираю свои слова обратно, не всё потерянно. Если Sha256 запилите за 2 дня и по сети не найдется такого кода, лично я вас признаю за крутого чувака, почти как Юру Панчула, даже готов вам выплатить 200 usdt за потраченное время.



Это не ко мне, это к Ивану Власкину (или кто там скрываетеся под различными акаунтами). Особо отметить стоит стилистически выверенный текст. Возможно и ИИ модель поработала (понадергала фраз из других моих сообщений), а может просто толковый человек составил, нам уже не узнать.
[Ответ][Цитата]
гость
107.189.11.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 9:58
Цитата:
Автор: гость


Sha256 запилите за 2 дня и по сети не найдется такого кода
мало смысла приказывать портировать алгоритм который 100500 раз всякие студенты уже где-то в сети выкладывали, sha256 вот на вскидку https://github.com/secworks/sha256 как раз за два дня можно его чуток структурно пошебуршить и будет как оригинальный

кастомный алгоритм только нужно, которого нет нигде, например пускай портирует MLP Данилы Николаевича Зайцева в верилог, он валяется на кибере и форуме бандеровца Андрея, это кстати будет интересно, смысл будет в такой работе для ИИ
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 10:39
Желание приказывать, указывать, спрашивать это как раз тупых неадекватов отличает.
Как я убедился есть такие среди немцев (положа руку на сердце - картавых).

Испанцы на таких хитрожопых смотрят, а потом нахер посылают.
Сефард и тем более джев это ругательство или как ультермеш (человек второго сорта) по немецки в Испании. Прчием без всякого националистического налета. Потому что более националистов чем испанцы на земле не существует. Поэтому и евреи в Испании предпочитают молчать в тряпочку кто они такие. А уж всяких хитрожопых алеман, посылают прямо сразу, как они кого-заебут своими заёбами.

Испания практически первой вступила в NATO. Скорее всего с целью экономии. Типа они с краю до них не дойдут. Думаю что нацианалистические традиции идут с Галисии - с места расселения ветеранов Галлов после победы над Карфагеном ( на самом деле подкупом и через воспитание детей властителей Андалусии и Мурсии и захватом серебренных месторожений в Порт-Мане).

А так же по причите что вообще экспансия темнокожих Римских Войнов начиналась с тех мест.

Суть деятельсности евреев сводится к созданию цепочки складов, компаний, магазинов по сбыту всякой вредной продукции обычным людям. Это растянутое во времени убийство или наебалово они называют бизнесом. Причем хотя все что удается заработать отбирают, эти хитрожопые за счет операций с наличкой и подлога накладных в результате сговора с провайдером умудряются отжать себе копеечку.

Еще одна особенность хитрожопо спрятанных евреев среди испанцев они детям до смерти ни чего не отдают и стараются и своих детей эксплуатировать по максимуму.

Если еще немного подзажать доход у испанцев, они в итоге друг другу сами горло перегрызут. Это уже было во время гражданской войны. Когда одна половина села (нищеброды) лично убивали другую половину села (якобы эксплуотаторов, то есть доставалась вот как раз хитрожопым, которые продавали всякую отраву или цены завышали регулярно или обманывали).

Показательны события в Валенсии. Когда хитрожопых короля с королевой и еще более хитрожопых политиков практически забили камнями, не считая ругательств. Хотя говорят это было якобы подстроено их конкурентами, но не важно. Важно что народ когда в край нищает, теряет сразу свой человеческий облик.

Поэтому в испании и социализм. Проще каждому испанцу без работы отдавать 650 евро в месяц, чем отгрепсти от их всех вместе взятых. Поэтому в основном и 30% безработных. Потому что нафиг ни кто не хочет напрягаться. Зимы нет. Все не критично. Еда растет на деревьях, как бананы в турции - протянул руку и сытый. Денег не надо.
[Ответ][Цитата]
 Стр.43 (48)1  ...  39  40  41  42  [43]  44  45  46  47  48<< < Пред. | След. > >>