GotAI.NET

Форум: Проблемы искусственного интеллекта

 

Регистрация | Вход

 Все темы | Новая тема Стр.42 (48)<< < Пред. | След. > >>   Поиск:  
 Автор Тема: На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 5:53
Стек сетевых протоколов ISO из операционной системы GNU\Linux, который ранее был взять из FreeBSD.

Надо уточнять, а то тут всякие малолетние задроты Веласкины ходят, они нифига не в протоколах, не в стеках. Вообще ни в чём не понимают. Но встревают в разговор взрослых и несут пургу.
[Ответ][Цитата]
гость
185.100.87.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 9:50
Цитата:
Автор: гость



Повторяю еще раз даже мои студенты делали это 10 лет назад, когда Панчулы даже на горизонте не было. Конкретно весь стек протоколов с нижнего по 3 или 4 из Линукс перенесли в железо.

Вы просто не совсем поняли с кем разговариваете, даже мои студенты, которые меня по 20 лет знают не до конца понимают обо всех моих связях по всему миру.

Поэтому так получается, что вначале говорю я, а потом уже крупные корпорации стройным хором повторяют.

Так что лучше вчитывайтесь внимательно в тот текст, со ссылками и кусайте локти, что не сохранили предудущие мои публикации тут, где я показывал как автоматически из Гамильтониана построить систему уравнений вихрей Гельмгольца-Гельвина и за счет объемной силы и вязкости параметризировать на более сложный случай.

Затык там был в теории некого Хирани, которая была достаточно примитивна. Дальше триангуляции на Торе он не пошел. А между тем там надо было делать триангуляцию Делона для случая 8-ми мерного пространства. О чём я и написал выше.

Модель строится с учетом последовательности Фибоначи (если вам это о чем то говорит).

Были бы вы продвинутым математиком, этих слов вам бы хватило для того чтоб расписать модель и сделать реальное решение вначале в коде, а потом и в железе. Оттолкнувшись от библиотек из книг, указанных выше.

Только СИ++, только хардкор.
Анука тряхните стариной, покажите как с Си в верилог "портировать" да хотя бы умножение матриц, а если такая простота вас оскорбляет беритесь сразу за Sha256, думаю это вам не по зубам.

Шаг за шагом, без гугла и чата джипити, всё проверим, должен быть оригинальный контент, или вы неуч, а может трус, который только и может что оскорблять женщин и хохлов?

ФАС!
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 10:22
Цитата:
Автор: гость

Анука тряхните стариной, покажите как с Си в верилог "портировать" да хотя бы умножение матриц, а если такая простота вас оскорбляет беритесь сразу за Sha256, думаю это вам не по зубам.

Шаг за шагом, без гугла и чата джипити, всё проверим, должен быть оригинальный контент, или вы неуч, а может трус, который только и может что оскорблять женщин и хохлов?

ФАС!


Я уже понял что вы и есть и женщина и хохол одновременно. Обычно такая мутация у картавых. Так что скорее у нас уникум 3 в одном и женщина и хохол и картавый одновременно. Но вообще без мозгов.

Ребенок перестань зеркалить. Видно всем что вы нихера не поняли из текста выше.

Там же черным по белому написано. Студенты делали, причем во множественном числе. И указан год, когда они это делали. Вы совсем тупой рагуль.

Как и в прошлый раз с картинкой некой схемы, какую то херню несёте рагульскую и абсолютно не вменяемую и безмозглую.

Занимайтесь тем в чем понимаете - лепите кирпичи из говна. Или срите кирпичами, но только не на этом форуме.

Вам уже говорили что для ИИ идея подключить миллион мультиплексоров с демультиплексорами к миллиарду регистров ни к чему не приводит. Вам назвали решение. Но вы слишком тупой чтоб услышать и понять что вам сказали.
[Ответ][Цитата]
гость
185.100.87.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 10:45
Цитата:
Автор: гость


Там же черным по белому написано. Студенты делали, причем во множественном числе. И указан год, когда они это делали. Вы совсем тупой рагуль.
Слив засчитан, что и требовалось доказать. Позор вам.
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 10:51
Цитата:
Автор: гость

Слив засчитан, что и требовалось доказать. Позор вам.


https://www.youtube.com/watch?v=l1slYlutmdk

Что делают такие фашисткие ублюдки как вы на ЛВС.

Ваш слив засчитан еще 10 лет назад, если вас тут 10 лет назад не было, а были такие же рагули как вы, то это не чего не меняет.

Ублюдок подпрыгни на месте - не подпрыгнул - слив засчитан.

Вы реально рагульский дебил без мозгов это видно не вооружённым взглядом.

Если еще раз вякните тут про ПЛИС или этого вашего Педрищенко (не помню фамилию) который вам втирает что он специалист один из 100 на планете Земля. То будете вот практически этими же словами названы Ублюдком и посланы далеко и на долго.

Потому что терпеть дебилов на этом форуме я не намерен.
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13586
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 11:11
Цитата:
Автор: гость
Потому что терпеть дебилов на этом форуме я не намерен.

Простой народ покупается на хуйню которую несёт криптобандеровец Игнат
Очнитесь уже из забытья и морока, это матёрый лживый вражина
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13586
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 11:12
Доказательство что Игнат - криптобандеровец, вот он смакует анекдот про хитрого бандеровца -
=============
гость
31.4.247.*
На: Вкраинцы атаковали русских, это случилось!
Добавлено: 08 окт 24 15:17
https://www.youtube.com/watch?v=au1l4fssvEw

Территорию бывшей Вкраины планируют заселя Неграми и "Сирийцами". Под серийцами в данном случае понимают весь уголовный бомонд который вокруг Палестины вплоть до Катара.

Негры тоже бывают разные. Но у тех кто едет в Европу есть общее, они обычно наркоманы (курят и некоторые колются) и совершенно не грамотные.

Но есть и исключения, которые освоили с блеском сложную профессию и могут говорить на 5-8 языках.

Испанцы, особенно молодые тоже все курят траву и как правило те кто курят, не могут даже дроби считать. У них просто концентрация не позволяет.

Зато могут гектары плантаций вручную обрабатывать под палящим солнцем и чувствовать себя вполне счастливо.

Вообщем хотят породу хохлозверей разбавить совсем дикими. И хохлушек уже к неграм приучили, потому что и негры тупые и хохлушки еще тупее, поэтому гармония.

А потомство будет вообще идеальные рабы.
[Ответ][Цитата][+1|-1]
==========
гость
31.4.247.*
На: Вкраинцы атаковали русских, это случилось!
Добавлено: 08 окт 24 17:46
К автобусу с туристами подходит дедок в форме боевика УПА* и, опираясь руками на пистолет-пулемет MP-40, спрашивает: «А хто мені підкаже, яка зараз година?» («А кто мне подскажет, который час?»). Все молчат, и только темнокожий парень, взглянув на часы, встает и говорит: «За чверть восьма, діду» («Без четверти восемь, дедушка»). Тот, улыбаясь, отвечает: «Сиди, синку, сиди, я й так бачу, що ти не москаль» («Сиди, сынок, сиди, я и так вижу, что ты не москаль»).
[Ответ][Цитата][+1|-1]
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13586
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 11:45
Игнат:
1.Травит украинскую диаспору чтобы возвращались воевать в Украину
2.Дискредитирует русских как фашистов и нацистов
3.Нападает от лица русских на евреев натравливая на Россию еврейское лобби
4.Говорит о захвате Россией территорий Европы чтобы натравить на Россию НАТО
5.Страхом мобилизует бандеровскую сволочь
6.Называя Украинцев недочеловеками натравливает Украинцев на Русских
[Ответ][Цитата]
гость
185.241.208.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 11:54
Цитата:
Автор: IvanVlaskin1976

Игнат:
1.Травит украинскую диаспору чтобы возвращались воевать в Украину
2.Дискредитирует русских как фашистов и нацистов
3.Нападает от лица русских на евреев натравливая на Россию еврейское лобби
4.Говорит о захвате Россией территорий Европы чтобы натравить на Россию НАТО
5.Страхом мобилизует бандеровскую сволочь
6.Называя Украинцев недочеловеками натравливает Украинцев на Русских
а разве не так бы действовал хитрый бандеровец?
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 12:54
https://www.youtube.com/watch?v=Qfd-v7Wp_Vw

Всех чертей под ноготь. Как малолетний задрот возбудился, оказывается аноним с Панчулиным это такой же малолетний задрот.

Их там на Вкраине явно штампуют. Двое их или один единственный не играет ни какой роли.
У тараканов мозгов больше.
[Ответ][Цитата]
гость
149.102.236.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 13:09
Совокупные потери Англии, Америки и Франции во время Второй мировой войны 41-45 годов меньше чем потери вкраинцев.

И вот эти сопливые пробуют учить русских жизни.
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13586
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 14 янв 25 13:15
Пизди пизди
Чёрт хитрожопый
Тя вывели на чистую воду
Надо будет ещё раз повторю твой анекдот про бандеровца и то что ты делаешь гнида
[Ответ][Цитата]
гость
130.195.249.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 1:27
Цитата:
Автор: IvanVlaskin1976

Игнат:
1.Травит украинскую диаспору чтобы возвращались воевать в Украину
2.Дискредитирует русских как фашистов и нацистов
3.Нападает от лица русских на евреев натравливая на Россию еврейское лобби
4.Говорит о захвате Россией территорий Европы чтобы натравить на Россию НАТО
5.Страхом мобилизует бандеровскую сволочь
6.Называя Украинцев недочеловеками натравливает Украинцев на Русских



В Крыму с начала аннексии было уничтожено более 600 украиноязычных классов и 7 школ

Об этом заявил представитель Краевой рады украинцев Крыма, координатор группы «Гуманитарная политика» Экспертной сети «Крымская платформа» Андрей Щекун.

21 ноября минувшего года глава Центра гражданского просвещения «Альменда» Мария Сулялина во время пресс-конференции «Детство в ловушке: как защитить права детей, оказавшихся в оккупации» сообщала, что в 2023-2024 учебном году только 0,8% детей имели возможность изучать украинский язык в школах Крыма.
[Ответ][Цитата]
ignаt99
Сообщений: 153
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 6:11
Цитата:
Автор: гость

Анука тряхните стариной, покажите как с Си в верилог "портировать" да хотя бы умножение матриц, а если такая простота вас оскорбляет беритесь сразу за Sha256, думаю это вам не по зубам.

Шаг за шагом, без гугла и чата джипити, всё проверим, должен быть оригинальный контент, или вы неуч, а может трус, который только и может что оскорблять женщин и хохлов?

ФАС!

Цитата:
Автор: гость

Слив засчитан, что и требовалось доказать. Позор вам.
Ну ладно, а в чем собственно квест в умножении матриц на верилог? Хохол под надзором картавого справится за пол часа, а русский за 3 мин. По сути тот же С:


С

void matrix_multiplier(
    int *A, int *B, int *C,
    int MATRIX_SIZE
) {
    for (int i = 0; i < MATRIX_SIZE; i++) {
        for (int j = 0; j < MATRIX_SIZE; j++) {
            int temp_sum = 0;
            for (int k = 0; k < MATRIX_SIZE; k++) {
                temp_sum += A[i * MATRIX_SIZE + k] * B[k * MATRIX_SIZE + j];
            }
            C[i * MATRIX_SIZE + j] = temp_sum;
        }
    }
}



verilog

module matrix_multiplier #(
    parameter DATA_WIDTH = 8,
    parameter MATRIX_SIZE = 4
) (
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] A,
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] B,
    output reg [DATA_WIDTH * 2 * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] C
);
    integer i, j, k;
    reg [DATA_WIDTH * 2 - 1 : 0] temp_sum;

    always @(*) begin
        for (i = 0; i < MATRIX_SIZE; i = i + 1) begin
            for (j = 0; j < MATRIX_SIZE; j = j + 1) begin
                temp_sum = 0;
                for (k = 0; k < MATRIX_SIZE; k = k + 1) begin
                    temp_sum = temp_sum + A[(i * MATRIX_SIZE + k) * DATA_WIDTH +: DATA_WIDTH] * B[(k * MATRIX_SIZE + j) * DATA_WIDTH +: DATA_WIDTH];
                end
                C[(i * MATRIX_SIZE + j) * DATA_WIDTH * 2 +: DATA_WIDTH * 2] <= temp_sum;
            end
        end
    end

endmodule


С ша256 возиться не буду, там русскому на пару дней(я получаю сотку евро в день, заплатите сделаю), а хохлу или картавому на год работы, такджик или тувинец осилит где-то за месяц, чеченец за неделю.
[Ответ][Цитата]
гость
94.198.41.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 6:27
Цитата:
я получаю сотку евро в день

Джуниор что ли? Позор конечно такие смешные деньги получать.
[Ответ][Цитата]
 Стр.42 (48)1  ...  38  39  40  41  [42]  43  44  45  46  ...  48<< < Пред. | След. > >>