GotAI.NET

Форум: Проблемы искусственного интеллекта

 

Регистрация | Вход

 Все темы | Новая тема Стр.44 (48)<< < Пред. | След. > >>   Поиск:  
 Автор Тема: На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
гость
5.62.20.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 17:59
Цитата:
Кстати обед в ресторане по всей Испании из 5 блюд стоит 12 евро. Обязательно Суп, Мясо, Соки или Пиво а так же дисерт и обязательно гарнир. Всё на выбор.

Это насколько сгнившей должна быть просрочка, которую игнат потребляет, чтобы з'їхати з глузду в такой степени. Или он клей нюхает)))
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 15 янв 25 23:33


Ахтунг!

Малолетние.
На этом форуме есть сразу три виртуала лет 13 Веласкин и Стволовой и Бессмертный сложный, zzzz.

Инструкция для умных (максимум 2 недели работы, но можно и за 5 рабочих дней):

Берется перевод книги изданный в 1992 году "Интерактивная трехмерная машинная графика" автор Амеральд (оригинал 1986 год). Там в конце листинги на чистом Турбо Си

Основное графическое окно с парсером ввода и фала и горячими клавишами
D3D.C - 2160 строк
Основные операции с графическим контроллером и шрифт встроенный. В Винтаж Стори есть лепка матричных форм из глины и ковка по шаблону. Как раз в точности по 8x11 матрице можно прямо в игровом процессе создавать растровые шрифты, ни чего не меняя в игровом процессе.
GRPACK.C - 796 строк
Аналого предыдущей библиотеки, но с потдержкой BGI (драйверов видеокарт Borland)
GRPACK1.C - 397 строк
Матрицы вращения векторов, которые образуют модель.
TRAFO.C - 70 строк.
Удаление скрытых линий.
HLPFUN.C - 970 строк
Распечатка экрана на принтере или графопостроителе HP с ESC последовательностями (и HP-GL) (актуальна до сих пор на производстве (можно переделать на G-код) - так как программы для ЧПУ очень схожи с форматом PS). Часть станков с ЧПУ управлятся до сих пор строго через COM порт и строго через Windows XP приложение - продукт модификации устаревших аппаратно контроллеров ЧПУ.
PLOTHP.C - около 200 строк. Но если расширять для ЧПУ станка, то будет отдельный модуль.

http://optic.cs.nstu.ru/files/CC/CompGraph/Lit/Shikin.pdf

Е.В. Шикин, А.В. Боресков
КОМПЬЮТЕРНАЯ
ГРАФИКА
_________________________________________________
динамика,
реалистические
изображения


Вот в этой книге все про построение реалистичных изображения и обратную трасировку. Так же там база по потдержке всех стандартных графических драйверов. И использование stdlib и приимущества C++ для переопределения векторных операций, что уменьшает объем читаемого кода.

Набираете, адаптируете к Фрейм Буферу ядра Линукс и вперед с песней. Производительность будет такая (если спрямить индексацию и ввести иерархию ситуационную), что современные графические карты позавидуют. Можно даже использовать механизм страничной адрессации и особенности DMA контроллеров.

Отладить программу можно прямо в Турбо-С под DOS
https://www.geeksforgeeks.org/how-to-install-turbo-c-on-linux/
sudo apt-get install dosbox

Правильная русификация
https://www.vogons.org/viewtopic.php?t=56032
После запуска скрипта русификации в любой директории, он создаст файл russian.txt, который вместе с путём к нему надо прописать в файле конфигурации dosbox.

Переход между английским и русским левый и правые Alt-Shift.

Потом частями запихиваете прямо в ПЛИС этот код если есть хорошая под рукой.
Можно, конечно и современные графические карты приспособить, но обязательно на уровне прямого програмирования железа. Это уже дело техники. Если алгоритм готов и отлажен. То портирование можно поручить даже рагулю с образованием или какому нибудь картавому с опытом. Накосячить там сложно. Но все равно за такими персонажами нужен глаз да глаз.

Если нужно сделать хорошо, то сделай это сам.

Если каждая 1000 строчек кода набирается за 1 день.
То всего набора текста программы дня на 4.


Инструкция для тупых:

Пройдите по любой из 12 ссылок ниже.
Прочитайте хотя бы один абзац и задайте вопрос строго по прочитанному.

Все сопли зависти публикуйте в других темах.



Оглавление заметок об игровых моделях. Игровые модели как среда для развития ИИ.


1. Введение про крылья Бабочки (охватывает упругофотонику, электромагнетизм и сильнослабое взаимодействие)
http://gotai.net/forum/Default.aspx?postid=354554#354554
http://gotai.net/forum/Default.aspx?postid=354737#354737

2. Передодическая структура глаза человека (сходная с крылом бабочки)
http://gotai.net/forum/Default.aspx?postid=354778#354778
http://gotai.net/forum/Default.aspx?postid=354794#354794

3. Триангуляция Делоне
http://gotai.net/forum/Default.aspx?postid=354811#354811

4. ДНК язык стурктуры 1-3 уровня
http://gotai.net/forum/Default.aspx?postid=354834#354834

5. Геликатеноид как представление рабочего тела репарации ДНК
http://gotai.net/forum/Default.aspx?postid=354788#354788
http://gotai.net/forum/Default.aspx?postid=354816#354816

6. Детали Сильно-Слабого взаимодействия в контексте механизмов репарации ДНК
http://gotai.net/forum/Default.aspx?postid=354872#354872

7. Устройство наносборщика структур (Технология производства крыльев бабочек или сенсоров нового поколения с элементами квантово-фотонной логики)
http://gotai.net/forum/Default.aspx?postid=354875#354875
http://gotai.net/forum/Default.aspx?postid=354966#354966

8. Внутри-газовые структуры
http://gotai.net/forum/default.aspx?postid=354875#354875

9. Физико-химические процессы ниже уровня Кодонов или тритов
http://gotai.net/forum/default.aspx?postid=354903#354903

10. 3 уровень Упорядоченные структуры клатратов как объединения особых геликоидов
http://gotai.net/forum/default.aspx?postid=355030#355030


11. 4 уровень Фракталы и автогенерация ланшафта
http://gotai.net/forum/default.aspx?postid=354966#354966
http://gotai.net/forum/default.aspx?postid=355104#355104

12. 5 уровень Словарная логика Томашик (или самовосстановление матриц Вавилонского языка)
http://gotai.net/forum/default.aspx?postid=354964#354964


13. Дебильнейший ворпрос рагуля Дмитрия Стволового (виртуала рагуля) про диод
http://gotai.net/forum/default.aspx?postid=354974#354974

Впрочем как раз процессы в Диоде проще всего визуализировать в структурах описанного выше типа.

Когда заданы все правила, и найдены все физические законы. ИИ остается только выбирать оптимальные конфигурации структур. Сообственн выше это наподобие оглавления без деталей математики.

А в описываемом выше случае, любой остовный граф покрывает например алгоритм трасировки света, тепла или других физических параметров. Таких как электрический ток или магнитное поле.
[Ответ][Цитата]
гость
193.36.132.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 3:51
Цитата:
Автор: гость


Джуниор что ли? Позор конечно такие смешные деньги получать.
Если сотка чистыми в Испании, не важно евро или баксов, для беззаботного холостяка, онаниста, в принципе достаточно, по крайней мере на время пока трудоспособен. Другое дело что это почти, как говорят "за еду", то есть ничего не отложить в копилку, а это проблема. Человек трубоспособен, по статистике, менее половины жизни, да и то, раньше так было. Айтишники намного меньше, как шахтёры, около 10-15 лет, потом выгорают, получают разную хронику и выбывают из строя, это если не учитывать жесткий эйджизм как в макональдсе, во многих канторах, просто не берут на работу больше 30. Нынче граница среднего класса это доход от полумиллиона в год грязными, около 300-400к зелени на руки, то есть где-то 30к в месяц, меньше 10к чистогана это уже в сторону макдональдса и униженного большинства, которое очень мрачно заканчивает свой жизненный путь, если останется в социуме в трезвом рассудке.
[Ответ][Цитата]
гость
193.36.132.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 4:04
Цитата:
Автор: ignаt99


module matrix_multiplier #(
    parameter DATA_WIDTH = 8,
    parameter MATRIX_SIZE = 4
) (
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] A,
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] B,
    output reg [DATA_WIDTH * 2 * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] C
);
    integer i, j, k;
    reg [DATA_WIDTH * 2 - 1 : 0] temp_sum;

    always @(*) begin
        for (i = 0; i < MATRIX_SIZE; i = i + 1) begin
            for (j = 0; j < MATRIX_SIZE; j = j + 1) begin
                temp_sum = 0;
                for (k = 0; k < MATRIX_SIZE; k = k + 1) begin
                    temp_sum = temp_sum + A[(i * MATRIX_SIZE + k) * DATA_WIDTH +: DATA_WIDTH] * B[(k * MATRIX_SIZE + j) * DATA_WIDTH +: DATA_WIDTH];
                end
                C[(i * MATRIX_SIZE + j) * DATA_WIDTH * 2 +: DATA_WIDTH * 2] <= temp_sum;
            end
        end
    end

endmodule
[/code]

копипаст с https://stackoverflow.com/questions/61843519/multiplication-of-2-matrix-in-verilog
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 7:14
Цитата:
Автор: гость

Если сотка чистыми в Испании, не важно евро или баксов, для беззаботного холостяка, онаниста, в принципе достаточно, по крайней мере на время пока трудоспособен. Другое дело что это почти, как говорят "за еду", то есть ничего не отложить в копилку, а это проблема. Человек трубоспособен, по статистике, менее половины жизни, да и то, раньше так было. Айтишники намного меньше, как шахтёры, около 10-15 лет, потом выгорают, получают разную хронику и выбывают из строя, это если не учитывать жесткий эйджизм как в макональдсе, во многих канторах, просто не берут на работу больше 30. Нынче граница среднего класса это доход от полумиллиона в год грязными, около 300-400к зелени на руки, то есть где-то 30к в месяц, меньше 10к чистогана это уже в сторону макдональдса и униженного большинства, которое очень мрачно заканчивает свой жизненный путь, если останется в социуме в трезвом рассудке.


Вы все перепутали в Испании достаточно 64 евро в день и это офицально.
Остальное можно откладывать.
Это первое.
Второе это 30% испанцев сидят на пособии по безработице это 640 евро в месяц.
Это второе.

Вы рагуль поэтому и у вас альтернативы шахтер и макдонолдьс, а так же стройка и чужое говно убирать.

Можете сколько угодно рассуждать про 300-400к долларов, как я выше говорил это 30-40к евро в Европе - ну то есть один дом. Это как раз годовой контракт на удаленке.

В Барселоне это от 50к до 70к в год.

А вы балабол - идите говно в своем хлеву убирайте, потому что в ценах не ориентируетесь.

Повторяю еще раз - комплексный обед в любом ресторане 12 евро в Испании.

Рагули живут в халупах и вечно с бетоном ковыряются и ручной-электрической бетономешалкой.

Максимум у них 60 евро в день (те самые) остальное это тупое рагулье просто бахвалится.
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 7:22
По факту для рагулья в Испании 1200 евро в месяц это счастье.
В основном их находят способ продинамить и у них выходит 800 евро в месяц.

Все остальное рагули придумали.

Их на первом же собеседовании заворачивают, потому что они не способны на элементарные вопросы точно ответить. Как видим выше для их умножение матриц это огромное достижение.

А как на счет тензора?

А как на счет комплексного тензора?

А комплексного тензоа с интервалами?

А внешний интеграл?

А операции с октанионами (напоминаю там 3 мнимых единицы i, j, k)?

Вот то что я демострировал тут 5 лет назад, а рагули ничего до сих пор не поняли из того.

Но продолжают умничать про ИИ. Хотя засыплются на самом примитивном - типы данных в питоне, или стандартная библиотека умножения векторов в СИ++ и её связь с Лисп. И т.д.

Не говоря уже о символьных вычислениях.

Вот потому что рагули ничего этого не знают, плюсь вместо работы с фреймворками они работали с бетономешалкой или таксовали. Вот поэтому у них и максимум 1200 евро в месяц в Испании.

Есть ребята с Донбаса, те могут электриками и даже роутеры настраивать, в протоколах разбираются, но это не рагули. Это русские. У них приличная зарплата 3 000 чистыми на руки каждый месяц.
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 7:25
Мой любимый вопрос для рагулей:

Какое отличие внешней производной от внешнего интеграла?

:-)

Ни один еще не ответил.... потому что между этими двумя понятиями вообще практически ничего общего нет.
[Ответ][Цитата]
IvanVlaskin1976
Сообщений: 13196
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 8:28
Цитата:
Автор: гость

Мой любимый вопрос для рагулей:

Какое отличие внешней производной от внешнего интеграла?
Ни один еще не ответил.... потому что между этими двумя понятиями вообще практически ничего общего нет.

И сам же не ответил
Производная это касательная к функции, а интеграл это площадь под функцией
Общее у них то, что они имеют отношение к геометрии
[Ответ][Цитата]
гость
5.62.20.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 8:54
Цитата:
Автор: гость
В Барселоне это от 50к до 70к в год.

ебать у тебя хлама в башке, 100 евров в день при пятидневной неделе - это 2200 в месяц, 26.4К в год - половина того что ты написал, еблан
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 9:20
Цитата:
Автор: гость


ебать у тебя хлама в башке, 100 евров в день при пятидневной неделе - это 2200 в месяц, 26.4К в год - половина того что ты написал, еблан


Я же говорю тупые рагули. Не воспитаные дебилы. Видно что не дня не работали. В Испании 30% уходит на налоги. Иногда больше.

Так что видишь рагуля либо по рылу сразу лопатой, либо если лопыты с собой нет лучше не подходить близко чтоб в говне от рагуля не перепачкаться.
Эти животные, как видно из поста выше даже считать не умеют.

Ну то есть рагулям так и платят за каждый день, когда они впахивают.

Откуда же им знать про годовый или бессрочные контракты с фиксированной суммой оплаты. Типа 50 000 евро в год.

Хотя некоторым джунам в некоторых так сибе конторах, куда берут рагулей чито теоретически может быть конкратк в 30 000 евро но это маловероятно. Обычно с 36 000 начинается.

Хотя в Барселоне есть системы поиска работы для местных. Там бывают работы не бей лежачего. Где реально в день не более 4 часов присутствовать, а что то делать приходится раз в месяц.
Вот та мбывают контракты 31 000. Потому и ищут что ни кто не соглашается. Скорее всего из за дороговизны аренды жилья. Кой где квартира в аренду стоит 1 500 евро в месяц и это как минимум 6 месячный строгий контракт (то есть не соскачить ни при каких условиях).

Поэтому рагули и жалются что квартиры не найти. Во перквх кто им даст контракт, а во вторых у них и денег даже на первые 2 месяца + залог + агенту = 4 месяца как с куста нет.

То есть первый платеж скорее всего 6 000 евро, а через год залог если повезет вернут частично, потому что часть заберут на оплату молярных и прочих работ по ремонту помещения.

Стоит ли говорить, что рагули без денег в Испании не приживаются .... потому что нищие и тупые.
[Ответ][Цитата]
гость
5.62.20.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 9:27
Цитата:
Автор: гость
Стоит ли говорить, что рагули без денег в Испании не приживаются .... потому что нищие и тупые.

ты же, еблан, прижился
моешь яхты, тыришь просрочку, роешься в мусорке.. тупой и нищий а прижился
[Ответ][Цитата]
гость
193.36.132.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 9:32
Цитата:
Автор: гость


з'їхати з глузду
ша бенедера! тсссс....
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 9:32
Цитата:
Автор: гость


ты же, еблан, прижился
моешь яхты, тыришь просрочку, роешься в мусорке.. тупой и нищий а прижился


Это ваши личные рагульские мечты про Испанию.
Сам унижается.
Даже не понимает что мандарины в Андалусии прямо на улице города растут.
В огромном количестве. Да не сладкие, но можно с сахором варенье сварить.

То есть тупой рагуль сам себя выдал, что по помойкам и мусоркам роется.

Хотя мусор вывозят регулярно. И есть мароканцы которые старые вещи собирают и отправляют в Марокко слегка починив.

То есть даже на уровне помоек рагулям в Испании делать не чего, там мароканская мафия заправляте.

Машину надо где то ставить и склад старых вещей тоже где то должен быть. Мароканцы по испанки говорят и знакомых имеют чтоб арендовать гараж.

А хохла туда никто не пустит, потому что все знают что они воры и окупасы. Так что опять в просак попал дебил-рагулек.

Про теплицы даже не вспоминай - там негры все выкупили.

Вот говно чистить - это строго хохлы и рагули. Тут даже негры не конкуренты.
[Ответ][Цитата]
гость
193.36.132.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 9:37
Цитата:
Автор: ignаt99


Ну ладно, а в чем собственно квест в умножении матриц на верилог? Хохол под надзором картавого справится за пол часа, а русский за 3 мин. По сути тот же С:


С

void matrix_multiplier(
    int *A, int *B, int *C,
    int MATRIX_SIZE
) {
    for (int i = 0; i < MATRIX_SIZE; i++) {
        for (int j = 0; j < MATRIX_SIZE; j++) {
            int temp_sum = 0;
            for (int k = 0; k < MATRIX_SIZE; k++) {
                temp_sum += A[i * MATRIX_SIZE + k] * B[k * MATRIX_SIZE + j];
            }
            C[i * MATRIX_SIZE + j] = temp_sum;
        }
    }
}



verilog

module matrix_multiplier #(
    parameter DATA_WIDTH = 8,
    parameter MATRIX_SIZE = 4
) (
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] A,
    input wire [DATA_WIDTH * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] B,
    output reg [DATA_WIDTH * 2 * MATRIX_SIZE * MATRIX_SIZE - 1 : 0] C
);
    integer i, j, k;
    reg [DATA_WIDTH * 2 - 1 : 0] temp_sum;

    always @(*) begin
        for (i = 0; i < MATRIX_SIZE; i = i + 1) begin
            for (j = 0; j < MATRIX_SIZE; j = j + 1) begin
                temp_sum = 0;
                for (k = 0; k < MATRIX_SIZE; k = k + 1) begin
                    temp_sum = temp_sum + A[(i * MATRIX_SIZE + k) * DATA_WIDTH +: DATA_WIDTH] * B[(k * MATRIX_SIZE + j) * DATA_WIDTH +: DATA_WIDTH];
                end
                C[(i * MATRIX_SIZE + j) * DATA_WIDTH * 2 +: DATA_WIDTH * 2] <= temp_sum;
            end
        end
    end

endmodule


С ша256 возиться не буду, там русскому на пару дней(я получаю сотку евро в день, заплатите сделаю), а хохлу или картавому на год работы, такджик или тувинец осилит где-то за месяц, чеченец за неделю.
а зах одномерные масивы и такая путаная индексная акробатика? похоже на код сторожа, который про многомерные масивы ен слышал

вердикт: выебать в очко и рот
[Ответ][Цитата]
гость
45.128.39.*
На: Цель этого форума демотивировать русскоговорящих общаться про ИИ. Она достигнута.
Добавлено: 16 янв 25 9:43
По поводу яхт.
Чтоб рагули не мечтали.

Матрикула - регистрация 600 евро.
Первая ступень получения лицензии шкипера - 700 евро (всего 3 ступени все примерно в одну цену)
Перевозка яхты до марины - 1000 евро.
Вызов механика на яхту - 300 евро.
Страховка - 1000 евро в год минимум
Контракт на стоянку - 2400 евро в год в Кадисе (самый дешёвый).
Ежегодные расходники - 1000 евро.
Билеты на самолет до яхты и обратно - минимум 1000 евро.
Самая яхта - от 15 000 евро.

То есть чтоб рагуля хотя бы подпустили к чужой яхте ему надо вложиться.
Только тупой рагуль, который яхту видел на кратинке думает что её надо мыть.

Яхту перекрашивают особенно подводную часть раз в 2 года или каждый год. Обычно это делают члены команды. Которые несут общие расходы.

Вообщем вывод такой рагулям яхты не светят.

Так например 55 футовая яхта (около 17 метров в длинну) после урагана в Америке стоила 70 000 долларов.

Затем надо вложить еще 70 000 евро на разное для восстановление и починку.


Вообщем минимальный прайс чтоб красить свою яхту 20 000 евро.
Яхта друга от 200 000 евро обычно. Чтоб заехать и оплатить хотя бы рестораны на равне минимум 3 000 евро (это чтоб фотка была что человек красит что то)
А вот чтоб свой магазин иметь яхтенный - там миллионы.

Поэтому рагули дебилы в своей манере покзывают тупость и не знание предмета.
[Ответ][Цитата]
 Стр.44 (48)1  ...  40  41  42  43  [44]  45  46  47  48<< < Пред. | След. > >>